We use cookies to improve your experience with our site.
Xuan Qi, Da-Kai Zhu. Energy Efficient Block-Partitioned Multicore Processors for Parallel Applications[J]. Journal of Computer Science and Technology, 2011, 26(3): 418-433. DOI: 10.1007/s11390-011-1144-5
Citation: Xuan Qi, Da-Kai Zhu. Energy Efficient Block-Partitioned Multicore Processors for Parallel Applications[J]. Journal of Computer Science and Technology, 2011, 26(3): 418-433. DOI: 10.1007/s11390-011-1144-5

Energy Efficient Block-Partitioned Multicore Processors for Parallel Applications

  • Due to the increasing power consumption in modern computing systems, energy management has become an important research area in the last decade. Recently, multicore has emerged to be an energy efficient architecture that exploits parallelisms in modern applications. However, as the number of cores on a single chip continues to increase, it has been a grand challenge on how to effectively manage the energy efficiency of multicore-based systems. In this paper, based on the voltage island and dynamic voltage and frequency scaling (DVFS) techniques, we investigate the energy efficiency of block-partitioned multicore processors, where cores are grouped into blocks with the cores on one block sharing a DVFSenabled power supply. Depending on the number of cores on each block, we study both symmetric and asymmetric block configurations. We develop a system-level power model (which can support various power management techniques) and derive both block- and system-wide energy-efficient frequencies for systems with block-partitioned multicore processors. Based on the power model, we prove that, for embarrassingly parallel applications, having all cores on a single block can achieve the same energy savings as that of the individual block configuration (where each core forms a single block and has its own power supply). However, for applications with limited degrees of parallelism, we show the superiority of the buddy-asymmetric block configuration, where the number of required blocks (and power supplies) is logarithmically related to the number of cores on the chip, in that it can achieve the same amount of energy savings as that of the individual block configuration. The energy efficiency of different block configurations is further evaluated through extensive simulations with both synthetic as well as a real life application.
  • loading

Catalog

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return