We use cookies to improve your experience with our site.
Chao Wang, Xi Li, Xue-Hai Zhou. CRAIS: A Crossbar-Based Interconnection Scheme on FPGA for Big Data[J]. Journal of Computer Science and Technology, 2015, 30(1): 84-96. DOI: 10.1007/s11390-015-1506-5
Citation: Chao Wang, Xi Li, Xue-Hai Zhou. CRAIS: A Crossbar-Based Interconnection Scheme on FPGA for Big Data[J]. Journal of Computer Science and Technology, 2015, 30(1): 84-96. DOI: 10.1007/s11390-015-1506-5

CRAIS: A Crossbar-Based Interconnection Scheme on FPGA for Big Data

  • On-chip interconnection has posed significant challenges in multiprocessor system on chip (MPSoC) design paradigm, especially in big data era. With respect to the state-of-the-art, crossbar-based interconnection methodologies are still efficient for FPGA-based small-scale heterogeneous MPSoCs. This paper proposes a crossbar-based on-chip interconnection scheme, named CRAIS. CRAIS utilizes reconfigurable crossbar interconnections between microprocessors and intellectual property (IP) cores in MPSoC. The hardware interconnection can be dynamically reconfigured during execution. Empirical results on FPGA prototype demonstrate that CRAIS can achieve more than 7X speedup compared with the state-of-the-art StarNet approach, while it only utilizes 21%~35% hardware resources of StarNet.
  • loading

Catalog

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return