We use cookies to improve your experience with our site.
Yu-Hang Liu, Xian-He Sun. Reevaluating Data Stall Time with the Consideration of Data Access Concurrency[J]. Journal of Computer Science and Technology, 2015, 30(2): 227-245. DOI: 10.1007/s11390-015-1517-2
Citation: Yu-Hang Liu, Xian-He Sun. Reevaluating Data Stall Time with the Consideration of Data Access Concurrency[J]. Journal of Computer Science and Technology, 2015, 30(2): 227-245. DOI: 10.1007/s11390-015-1517-2

Reevaluating Data Stall Time with the Consideration of Data Access Concurrency

  • Data access delay has become the prominent performance bottleneck of high-end computing systems. The key to reducing data access delay in system design is to diminish data stall time. Memory locality and concurrency are the two essential factors influencing the performance of modern memory systems. However, existing studies in reducing data stall time rarely focus on utilizing data access concurrency because the impact of memory concurrency on overall memory system performance is not well understood. In this study, a pair of novel data stall time models, the L-C model for the combined effort of locality and concurrency and the P-M model for the effect of pure miss on data stall time, are presented. The models provide a new understanding of data access delay and provide new directions for performance optimization. Based on these new models, a summary table of advanced cache optimizations is presented. It has 38 entries contributed by data concurrency while only has 21 entries contributed by data locality, which shows the value of data concurrency. The L-C and P-M models and their associated results and opportunities introduced in this study are important and necessary for future data-centric architecture and algorithm design of modern computing systems.
  • loading

Catalog

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return