We use cookies to improve your experience with our site.
Shi-Qi Lian, Ying Wang, Yin-He Han. DimRouter: A Multi-Mode Router Architecture for Higher Energy-Proportionality of On-Chip Networks[J]. Journal of Computer Science and Technology, 2018, 33(5): 984-997. DOI: 10.1007/s11390-018-1869-5
Citation: Shi-Qi Lian, Ying Wang, Yin-He Han. DimRouter: A Multi-Mode Router Architecture for Higher Energy-Proportionality of On-Chip Networks[J]. Journal of Computer Science and Technology, 2018, 33(5): 984-997. DOI: 10.1007/s11390-018-1869-5

DimRouter: A Multi-Mode Router Architecture for Higher Energy-Proportionality of On-Chip Networks

  • In the dark silicon era, many independent components of many-core processors are becoming voluntarily inactive due to the constraint of power consumption on a chip. However, to keep network connectivity, the on-chip interconnection must still be kept activated and wastes considerable energy to avoid the isolation of these inactive components, harming the energy-proportionality of the whole processor chip. In this paper, we propose a novel design to provide more energyproportional on-chip connection without damaging the network connectivity. To achieve this goal, we redesign the router architecture. The new architecture, DimRouter, supports three modes:normal, dark and dim. In the dim mode, only part of the router is active and provides flexible connection while the dark mode puts all router elements in the asleep state. Moreover, to maximize the number of dark routers, we also propose a reconfiguration algorithm based on degree-constrained Steiner Tree. The evaluation result under synthetic traffic shows that the new design can reduce the energy consumption up to 85% compared with the common design. For real application traffic, the new design can also save average 46% energy consumption with 4% performance improvement.
  • loading

Catalog

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return