We use cookies to improve your experience with our site.
Wei Wang, Yu Hu, Yin-He Han, Xiao-Wei Li, You-Sheng Zhang. Leakage Current Optimization Techniques During Test Based on Don t Care Bits Assignment[J]. Journal of Computer Science and Technology, 2007, 22(5): 673-680.
Citation: Wei Wang, Yu Hu, Yin-He Han, Xiao-Wei Li, You-Sheng Zhang. Leakage Current Optimization Techniques During Test Based on Don t Care Bits Assignment[J]. Journal of Computer Science and Technology, 2007, 22(5): 673-680.

Leakage Current Optimization Techniques During Test Based on Don t Care Bits Assignment

  • It is a well-known fact that test power consumption may exceed that during functional operation. Leakage power dissipation caused by leakage current in Complementary Metal-Oxide-Semiconductor (CMOS) circuits during test has become a significant part of the total power dissipation. Hence, it is important to reduce leakage power to prolong battery life in portable systems which employ periodic self-test, to increase test reliability and to reduce test cost. This paper analyzes leakage current and presents a kind of leakage current simulator based on the transistor stacking effect. Using it, we propose techniques based on don't care bits (denoted by Xs) in test vectors to optimize leakage current in integrated circuit (IC) test by genetic algorithm. The techniques identify a set of don't care inputs in given test vectors and reassign specified logic values to the X inputs by the genetic algorithm to get minimum leakage vector (MLV). Experimental results indicate that the techniques can effectually optimize leakage current of combinational circuits and sequential circuits during test while maintaining high fault coverage.
  • loading

Catalog

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return