We use cookies to improve your experience with our site.
Song-Liu Guo, Hai-Xia Wang, Yi-Bo Xue, Chong-Min Li, Dong-Sheng Wang. Hierarchical Cache Directory for CMP[J]. Journal of Computer Science and Technology, 2010, 25(2): 246-256.
Citation: Song-Liu Guo, Hai-Xia Wang, Yi-Bo Xue, Chong-Min Li, Dong-Sheng Wang. Hierarchical Cache Directory for CMP[J]. Journal of Computer Science and Technology, 2010, 25(2): 246-256.

Hierarchical Cache Directory for CMP

  • As more processing cores are integrated into one chip and feature size continues to shrink, the average access latency for remote nodes using directory-based coherence protocol becomes higher, which greatly impacts system performance. Previous techniques such as data replication and data migration optimize the performance of the requesting core, but offer little improvement for neighbor nodes. Other techniques such as in-transit optimization try to reduce latency at the cost of increased storage. This paper introduces hierarchical cache directory into CMP (chip multiprocessor), which divides CMP tiles into multiple regions hierarchically, and combines it with data replication. A new directory organization is proposed to record the share status within a region and assist the regional home to complete operation efficiently. Simulation results show that for a 16-core CMP, compared to traditional directory, hierarchical cache directory reduces average access latency by 9% and on-chip network traffic by 34% on average with less storage. Theoretical analyses show that for a 2n times 2n tiled CMP, the average access latency in hierarchical cache directory asymptotically approaches a function that is independent of n, hence the architecture is highly scalable.
  • loading

Catalog

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return