We use cookies to improve your experience with our site.
Zi-Chao Xie, Dong Tong, Ming-Kai Huang. A General Low-Cost Indirect Branch Prediction Using Target Address Pointers[J]. Journal of Computer Science and Technology, 2014, 29(6): 929-946. DOI: 10.1007/s11390-014-1480-3
Citation: Zi-Chao Xie, Dong Tong, Ming-Kai Huang. A General Low-Cost Indirect Branch Prediction Using Target Address Pointers[J]. Journal of Computer Science and Technology, 2014, 29(6): 929-946. DOI: 10.1007/s11390-014-1480-3

A General Low-Cost Indirect Branch Prediction Using Target Address Pointers

  • Nowadays energy-efficiency becomes the first design metric in chip development. To pursue higher energy efficiency, the processor architects should reduce or eliminate those unnecessary energy dissipations. Indirect-branch pre-diction has become a performance bottleneck, especially for the applications written in object-oriented languages. Previous hardware-based indirect-branch predictors are generally inefficient, for they either require significant hardware storage or predict indirect-branch targets slowly. In this paper, we propose an energy-efficient indirect-branch prediction technique called TAP (target address pointer) prediction. Its key idea includes two parts: utilizing specific hardware pointers to accelerate the indirect branch prediction flow and reusing the existing processor components to reduce additional hardware costs and power consumption. When fetching an indirect branch, TAP prediction first gets the specific pointers called target address pointers from the conditional branch predictor, and then uses such pointers to generate virtual addresses which index the indirect-branch targets. This technique spends similar time compared to the dedicated storage techniques without requiring additional large amounts of storage. Our evaluation shows that TAP prediction with some representative state-of-the-art branch predictors can improve performance significantly over the baseline processor. Compared with those hardware-based indirect-branch predictors, the TAP-Perceptron scheme achieves performance improvement equivalent to that provided by an 8 K-entry TTC predictor, and also outperforms the VPC predictor.
  • loading

Catalog

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return