We use cookies to improve your experience with our site.
伍岳, 陈云霁, 陈天石, 郭崎, 张磊. 一种可适应多种应用场景的可重塑体系结构[J]. 计算机科学技术学报, 2014, 29(2): 227-238. DOI: 10.1007/s11390-014-1425-x
引用本文: 伍岳, 陈云霁, 陈天石, 郭崎, 张磊. 一种可适应多种应用场景的可重塑体系结构[J]. 计算机科学技术学报, 2014, 29(2): 227-238. DOI: 10.1007/s11390-014-1425-x
Yue Wu, Yun-Ji Chen, Tian-Shi Chen, Qi Guo, Lei Zhang. An Elastic Architecture Adaptable to Various Application Scenarios[J]. Journal of Computer Science and Technology, 2014, 29(2): 227-238. DOI: 10.1007/s11390-014-1425-x
Citation: Yue Wu, Yun-Ji Chen, Tian-Shi Chen, Qi Guo, Lei Zhang. An Elastic Architecture Adaptable to Various Application Scenarios[J]. Journal of Computer Science and Technology, 2014, 29(2): 227-238. DOI: 10.1007/s11390-014-1425-x

一种可适应多种应用场景的可重塑体系结构

An Elastic Architecture Adaptable to Various Application Scenarios

  • 摘要: 随着计算机行业的繁荣,应用程序的数量正在急剧增长。即使同一个应用程序在不同应用场景中对性能和功耗也有不同的需求。尽管出现了各种体系机构的处理器来适应多种不同的应用场景,一个满足所有需求的专用处理器仍难以实现。而且满足特定性能/功耗的需求加重了程序员和系统集成商的负担。本文提出了可重塑体系结构(EA),以提供一个具有高可重塑度(针对性能/功耗/性能功耗比的最差情形与最好情形之比)的标准平台,藉此满足不同应用程序的需求。它可以根据需求动态调整结构参数(指令集,转移猜测,数据通道,内存层次结构,并发,状态控制等)。我们对EA的原型实现,Sim-EA,运行SPEC CPU2000基准测试程序的可重塑度在3.31-14.34之间,算术平均为5.41,显示了其满足不同性能功耗需求的极大的灵活性。相比于一个固定配置的参照体系结构,Sim-EA平均可以降低31.14%的EDP(energy-delay product)。一些后续的实验表明了应用程序片段长度与其可重塑度的负相关性。

     

    Abstract: The quantity of computer applications is increasing dramatically as the computer industry prospers. Meanwhile, even for one application, it has different requirements of performance and power in different scenarios. Although various processors with different architectures emerge to fit for the various applications in different scenarios, it is impossible to design a dedicated processor to meet all the requirements. Furthermore, dealing with uncertain processors significantly aggravates the burden of programmers and system integrators to achieve specific performance/power. In this paper, we propose elastic architecture (EA) to provide a uniform computing platform with high elasticity, i.e., the ratio of worst-case to best-case performance/power/performance-power trade-off, which can meet different requirements for different applications. It is achieved by dynamically adjusting architecture parameters (instruction set, branch predictor, data path, memory hierarchy, concurrency, status&control, and so on) on demand. The elasticity of our prototype implementation of EA, as Sim-EA, ranges from 3.31 to 14.34, with 5.41 in arithmetic average, for SPEC CPU2000 benchmark suites, which provides great flexibility to fulfill the different performance and power requirements in different scenarios. Moreover, Sim-EA can reduce the EDP (energy-delay product) for 31.14% in arithmetic average compared with a baseline fixed architecture. Besides, some subsequent experiments indicate a negative correlation between application intervals' lengths and their elasticities.

     

/

返回文章
返回