We use cookies to improve your experience with our site.
王超, 李曦, 周学海. 一种基于交叉开关的大数据互联架构[J]. 计算机科学技术学报, 2015, 30(1): 84-96. DOI: 10.1007/s11390-015-1506-5
引用本文: 王超, 李曦, 周学海. 一种基于交叉开关的大数据互联架构[J]. 计算机科学技术学报, 2015, 30(1): 84-96. DOI: 10.1007/s11390-015-1506-5
Chao Wang, Xi Li, Xue-Hai Zhou. CRAIS: A Crossbar-Based Interconnection Scheme on FPGA for Big Data[J]. Journal of Computer Science and Technology, 2015, 30(1): 84-96. DOI: 10.1007/s11390-015-1506-5
Citation: Chao Wang, Xi Li, Xue-Hai Zhou. CRAIS: A Crossbar-Based Interconnection Scheme on FPGA for Big Data[J]. Journal of Computer Science and Technology, 2015, 30(1): 84-96. DOI: 10.1007/s11390-015-1506-5

一种基于交叉开关的大数据互联架构

CRAIS: A Crossbar-Based Interconnection Scheme on FPGA for Big Data

  • 摘要: 片上互联架构给大数据时代的片上多核处理器设计方法带来了严峻的挑战.就目前的发展趋势来看,在构建基于FPGA的异构多核片上系统时,基于交叉开关的互联架构依然是一种相对比较高效可行的解决方案.本文提出了一种基于交叉开关的片上互联策略CRAIS,主要针对片上的微处理器以及可重构的知识产权IP核之间的互联.CRAIS允许数据通路针对应用程序的运行时特征实现自动配置和动态重构.本文基于FPGA设计并实现了原型系统.实验数据表明,相对于目前在FPGA上常用的另外一种架构StarNet,CRAIS能够提升到7倍的性能加速,同时只占用StarNet的21%~35%的硬件资源.

     

    Abstract: On-chip interconnection has posed significant challenges in multiprocessor system on chip (MPSoC) design paradigm, especially in big data era. With respect to the state-of-the-art, crossbar-based interconnection methodologies are still efficient for FPGA-based small-scale heterogeneous MPSoCs. This paper proposes a crossbar-based on-chip interconnection scheme, named CRAIS. CRAIS utilizes reconfigurable crossbar interconnections between microprocessors and intellectual property (IP) cores in MPSoC. The hardware interconnection can be dynamically reconfigured during execution. Empirical results on FPGA prototype demonstrate that CRAIS can achieve more than 7X speedup compared with the state-of-the-art StarNet approach, while it only utilizes 21%~35% hardware resources of StarNet.

     

/

返回文章
返回