Processing math: 30%
We use cookies to improve your experience with our site.

A Survey of Reliability Issues Related to Approximate Circuits

Zhen Wang, Rong-Chen Xu, Jia-Cheng Chen, Jie Xiao

downloadPDF
王真, 徐荣臣, 陈嘉诚, 肖杰. 与近似电路相关的可靠性问题综述[J]. 计算机科学技术学报, 2023, 38(2): 273-288. DOI: 10.1007/s11390-023-2554-x
引用本文: 王真, 徐荣臣, 陈嘉诚, 肖杰. 与近似电路相关的可靠性问题综述[J]. 计算机科学技术学报, 2023, 38(2): 273-288. DOI: 10.1007/s11390-023-2554-x
Wang Z, Xu RC, Chen JC et al. A survey of reliability issues related to approximate circuits. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY 38(2): 273−288 Mar. 2023. DOI: 10.1007/s11390-023-2554-x.
Citation: Wang Z, Xu RC, Chen JC et al. A survey of reliability issues related to approximate circuits. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY 38(2): 273−288 Mar. 2023. DOI: 10.1007/s11390-023-2554-x.
王真, 徐荣臣, 陈嘉诚, 肖杰. 与近似电路相关的可靠性问题综述[J]. 计算机科学技术学报, 2023, 38(2): 273-288. CSTR: 32374.14.s11390-023-2554-x
引用本文: 王真, 徐荣臣, 陈嘉诚, 肖杰. 与近似电路相关的可靠性问题综述[J]. 计算机科学技术学报, 2023, 38(2): 273-288. CSTR: 32374.14.s11390-023-2554-x
Wang Z, Xu RC, Chen JC et al. A survey of reliability issues related to approximate circuits. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY 38(2): 273−288 Mar. 2023. CSTR: 32374.14.s11390-023-2554-x.
Citation: Wang Z, Xu RC, Chen JC et al. A survey of reliability issues related to approximate circuits. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY 38(2): 273−288 Mar. 2023. CSTR: 32374.14.s11390-023-2554-x.

与近似电路相关的可靠性问题综述

A Survey of Reliability Issues Related to Approximate Circuits

Funds: This work was partially supported by the Natural Science Foundation of Shanghai under Grant No. 20ZR1455900, and the State Key Laboratory of Computer Architecture (Institute of Computing Technology, Chinese Academy of Sciences) under Grant No. CARCHA202005.
More Information
    Author Bio:

    Zhen Wang received her Ph.D. degree in computer science and technology from Tongji University, Shanghai, in 2008. She ever worked as a senior engineer in Synopsys from 2008 to 2013. She is a member of CCF. She is with Shanghai University of Electric Power, Shanghai. Her main research interests include fault-tolerant computing, reliability evaluation of high-level circuits, and approximate computing circuits

    Rong-Chen Xu received his B.S. degree in computer science and technology from Sanda University, Shanghai, in 2020. He is currently working toward his Master’s degree in computer technology with Shanghai University of Electric Power, Shanghai. He is interested in hardware security and approximate computing

    Jia-Cheng Chen received her B.S. degree in software engineering from Nanjing University of Science and Technology ZiJin College, Nanjing, in 2020. She is working toward her Master’s degree in computer science and technology in Shanghai University of Electric Power, Shanghai. She is interested in approximate computing

    Jie Xiao received his Ph.D. degree in computer system architecture from Tongji University, Shanghai, in 2013. He is currently working with the College of Computer Science and Technology, Zhejiang University of Technology, Hangzhou. His current research interests include reliability evaluation and fault-tolerant design, deep learning and combinatorial optimization-computation. He has coauthored one book and published more than 30 technical papers in refereed international journals including IEEE TC, TR and TCAD

    Corresponding author:

    Jie Xiao: xiaojiexqj@zjut.edu.cn

  • 摘要:
    研究背景 

    晶体管尺寸的缩小,集成电路密度的增大,使得半导体功耗急剧增加,摩尔定律和登纳德缩放比例定律逐渐走向瓶颈。同时,越来越多的大数据处理和人工智能等应用对高性能处理器的处理能力要求越来越高。这两者构成了一对矛盾,所以迫切需要一种新的计算架构,既要支撑高通量的计算力,又要满足低功耗的需求。实际上,在许多图像处理、视频、音频等应用中,微小的差错并不影响感官感受到的效果,因此近似电路作为一种高能效的设计架构应运而生。近似电路以应用能够容忍的精确性损失换取面积、功耗及时间开销的减少和成本的降低。

    目的 

    近似电路引起学术界和工业界越来越多的关注,因此围绕其开展的综述和分析较多,主要包括近似计算模型、软件层面的近似实现、硬件层面的近似实现等方面。为了拓展对近似电路的认识和研究,分析近似设计的可行性,为近似电路走向生产制造奠定基础,不同于已有的综述工作,我们聚焦近似电路的可靠性和准确性相关工作进行了全面的分析和总结。

    方法 

    本文面向近似电路的差错特性分析、可靠性和测试以及借助近似电路的可靠性设计三个方面进行了全面综述。差错特性分析是将近似电路的功能输出与对应的精确电路的输出相比较,通过若干量化指标评测准确性和近似程度。文中将这一方面的现有工作分为了三类:模拟方法、解析方法和形式化方法。近似电路一旦步入生产制造,制造中的缺陷和使用中的故障将不可避免,因此文中对可靠性预测和近似电路测试方面的工作进行了总结。最后,本文还对近似多模冗余,即通过近似电路降低可靠性设计面积开销的工作进行了综述。整篇文章对各类方法的优缺点进行了比较分析,并总结出各类方法适用的场景。

    结果 

    本文所综述的三个方面的内容各有其作用和价值。关于第一个方面,由于对应一个精确电路可以有多款近似设计,差错特性分析方面的工作可以帮助设计者根据应用需求计算差错度量指标,选择更优的近似电路。差错特性分析方法可以分为三类:模拟方法、解析方法和形式化方法。模拟方法准确但耗时;解析方法可以用于大电路,但只适用于特定功能的近似电路;形式化方法普适性较强,但主要适用于小规模电路。关于第二个方面,考虑到缺陷和故障的影响,分析近似电路可靠性的工作有助于改进电路设计,而面向近似电路的测试可以提高成品率。近似电路可靠性分析的相关研究还不成熟,有许多问题值得进一步研究,例如可接受输出、故障类型和失效机制等。第三个方面主要指近似多模冗余相关工作。容错技术中使用近似设计可以通过更少的面积开销达到逻辑屏蔽的效果。然而,已有工作属于为精确电路所做的容错设计,近似电路的高可靠设计需要更多的关注。

    结论 

    尽管许多学者围绕近似电路的准确性和可靠性开展了研究工作,但是仍然存在一些问题值得进一步探讨。由于近似电路的诞生源于应用本身的差错容忍性,如何面向不同的应用分析准确性和可靠性需求是一个重要的问题。例如,差错特性分析中度量指标阈值的设定、可靠性估计中可接受输出范围的确定,都需要以具体应用为导向进行探索。

    Abstract:

    As one of the most promising paradigms of integrated circuit design, the approximate circuit has aroused widespread concern in the scientific community. It takes advantage of the inherent error tolerance of some applications and relaxes the accuracy for reductions in area and power consumption. This paper aims to provide a comprehensive survey of reliability issues related to approximate circuits, which covers three concerns: error characteristic analysis, reliability and test, and reliable design involving approximate circuits. The error characteristic analysis is used to compare the outputs of the approximate circuit with those of its precise counterpart, which can help to find the most appropriate approximate design for a specific application in the large design space. With the approximate design getting close to physical realization, manufacturing defects and operational faults are inevitable; therefore, the reliability prediction and vulnerability test become increasingly important. However, the research on approximate circuit reliability and test is insufficient and needs more attention. Furtherly, although there is some existing work combining the approximate design with fault tolerant techniques, the reliability-enhancement approaches for approximate circuits are lacking.

  • Nowadays, artificial intelligence, big data processing, and cloud computing are widely used. These applications require enormous amount of data and complex computations, resulting in an unprecedented challenge in computing hardware. To facilitate the development of these new technologies, energy-efficient computing systems with high performance are urgently needed. However, as the feature size of transistors is approaching its physical limit, Moore’s Law[1] and Dennard’s Scaling Law[2] have become difficult to maintain. In such circumstances, in order to step out the dilemma, the approximate circuit (AC) emerges and many related techniques have been developed. The AC relaxes the strict accuracy requirements in the calculation without affecting the results of certain human perception or recognition-related computations, such as multimedia processing, data mining, and deep neural network[3-8].

    Generally, the approximate circuits obtain obvious hardware overhead savings compared with their corresponding exact circuits with a negligible impact on the output accuracy. Table 1 lists the area, delay and power improvements and accuracy losses of some ACs. The series of AXA[9] and CBAA[10] are 1-bit and 128-bit approximate adders, respectively. The series of R4ABE[11] and ABE[12] are approximate Booth encoders of radix-4 Booth multipliers. Table 1 shows that the approximate designs bring significant improvements in area and energy efficiency with low accuracy losses. Furthermore, some work[13, 14] also verifies the effects of ACs on some typical applications.

    Table  1.  Hardware Savings and Accuracy Losses of Some ACs
    ACAverage Improvement (%) Accuracy Loss
    Area
    Delay
    Power

    Error
    Rate (%)
    Error
    Distance
    AXA1[9]20.00100.0015.22 /4
    AXA2[9]40.0043.9625.07 /4
    AXA3[9]20.00–71.8030.57 /2
    CBAA-Type I[10]61.6323.5698.13 0.50/
    CBAA-Type II[10]61.639.2495.45 0.50/
    CBAA-Type III[10]39.94–54.7944.27 0.10/
    CBAA-Type IV[10]41.03–7.3373.81 0.20/
    R4ABE1[11]59.4512.5053.27 12.50/
    R4ABE2[11]83.7437.5088.44 25.00/
    ABE[12]64.8412.5062.81 18.75/
    下载: 导出CSV 
    | 显示表格

    With the AC getting close to practical applications, the reliability issues of the approximate designs become increasingly important. In this paper, three reliability issues are reviewed and analyzed, i.e., error characteristics, reliability and test, and reliable design involving AC.

    Compared with the conventional exact circuit, the first concern of the approximate design is the inaccuracy degree. To measure the quality of different approximate designs in the design space, multiple error metrics have been proposed. To evaluate the error characteristics of approximate arithmetic circuits, a lot of researches calculate one or multiple error metrics. The error characteristics are used to measure the approximation degree, judge several approximated candidates in design exploration and filter out the proper one which meets the customized requirement.

    Once an approximate design steps into the physical realization, it will be imperative to analyze AC reliability and test problems. Enough attention should be paid to the problems in the physical implementation and future utilization of ACs. The main problem of nanoscale technology based ICs is their increasingly serious vulnerability to defects introduced during circuit manufacturing, and soft errors and aging effects introduced in the circuit’s lifetime. These issues need to be covered by the AC test and reliability analysis. The challenges of the AC test and reliability analysis lie in the consideration of acceptable errors. For an AC, affected by the faults, although the functional output is different from the output in the truth table of the AC, and it is also different from the output of the corresponding precise circuit, it might be acceptable by an error-resilient application. Thus, in the AC test and reliability evaluation, the acceptable functional output should be regarded as “correct”. Therefore, the functional output of an AC allows errors in a certain degree, as long as the calculation results of the application are acceptable. Indeed, CMOS technologies at nanoscale significantly affect the circuit yield and reliability[15]. To take advantage of the opportunity offered by the AC, conventional test and reliability analysis need to be modified.

    Additionally, although ACs conduct imprecise computation, they bring new opportunities and frameworks for the reliable design. Approximate designs can be used in some conventional fault-tolerant schemes to reduce the cost. The well-known error detection and correction schemes, such as dual and triple modular redundancy[16], can defend both permanent and temporary faults; however, they use the full replication and introduce 100% and 200% area overhead, respectively. Some literatures[16-18] apply an approximated design of the original module to replace the completely duplicated redundant module. Hence, the area and power consumption can be reduced. These approximate modular redundancies can be used to thwart Hardware Trojan insertion and provide obfuscation[19]. Up to now, few researches have been conducted on the reliable design of ACs, a prospective issue of which is how to enhance the AC reliability taking into consideration manufacturing defects and failures and acceptable functional outputs.

    The rest of this paper is organized as follows. Section 2 reviews the error characteristics analysis of ACs. Section 3 provides an overview of the AC reliability and test process. In Section 4, we survey the fault-tolerant techniques involving ACs. Finally, in Section 5, we conclude the paper and outline the research directions in the future.

    ACs save power and area by lowering the circuit size such as reducing the number of transistors. Besides basic circuit metrics, such as power, area and delay, accuracy is also an important design constraint in approximate computing. The error characteristic analysis is performed to evaluate the effectiveness of different approximate schemes, exploring the enormous approximate design space, and helping designers to choose the suitable design. In general, the output differences between an AC and its precise counterpart are computed to measure the approximation degree. To measure the accuracy of an AC, many error metrics have been proposed. We will explain and discuss some popular ones.

    Error rate (ER) and error distance (ED)[20] are two most basic error metrics. ER describes the percentage of cases where the output of the AC is different from that of the precise circuit. In some literatures[21-23], ER is also called error probability (EP). ED indicates the arithmetic difference between the exact and approximate outputs. If the exact and approximate outputs are given as O and O', respectively, the ED can be expressed as ED = |O'O |. Additionally, the relative error distance (RED)[24] describes the relative difference with respect to the exact output and can be calculated as RED = ED/O. Furtherly, another metric called PRED represents the probability that RED is less than 2%. PRED has been utilized as an important error metric for evaluating the approximate Booth multipliers in [13] and [25]. ED and RED show two important features of an approximate design. Among two input combinations which cause the same ED, the one that leads to a larger output in the precise circuit will yield a smaller RED. The metrics of ED and RED evaluate the errors of individual input combinations. Considering all the input vectors, the average values of EDs and REDs denoted as mean error distance (MED) and mean relative error distance (MRED)[26], respectively, are used to evaluate a whole approximate design. MED and MRED are derived from the following formulas

    MED=ni=1EDi×P(EDi), (1)

    and

    MRED=ni=1REDi×P(REDi), (2)

    where n is the total number of input combinations for the circuit. EDi and REDi are the ED and RED for the i-th input combination, respectively. P(EDi) and P(REDi) mean the probabilities of the i-th input combination corresponding to EDi and REDi, respectively. For image processing applications, peak signal to noise ratio (PSNR) is a frequently-used measure to evaluate image quality. It has been found that PSNR has higher correlation with MED than with ER[25]. The normalized MED is denoted as NMED, which is given by

    NMED=MEDmax (3)

    where Oi is the AC output under the i-th input combination. NMED is helpful when comparing the error magnitudes of approximate designs of different sizes.

    Similar to variance and root variance, mean square error (MSE) and root mean square error (RMSE) are computed by

    MSE = \mathop \sum \limits_{i = 1}^n ED_i^2 \times P(ED_i), (4)

    and

    RMSE = \sqrt {MSE} , (5)

    respectively. They are also widely used to measure the extent of arithmetic errors.

    Additionally, Mrazek et al.[27] provided an open source library EvoApprox8b that consists of 8-bit approximate adders and multipliers. Meanwhile, they also provided some error metrics to evaluate these approximate designs. Several error metrics[27] have the same meaning as the ones mentioned in (1)-(5). Different ones include the hamming distance (HD), worst case error (WCE), and worst case relative error (WCRE), which are also widely used in the accuracy evaluation of approximate designs. Particularly, WCE is the most popular one. These three error metrics are described as follows

    HD = \mathop \sum \limits_{\forall i} {OneCount} \left( {O \oplus O'} \right),
    WCE = \max\nolimits _{i = 1}^nE{D_i},

    and

    WCRE = \max\nolimits_{i = 1}^n\frac{{E{D_i}}}{{\max (1,{O_i})}},

    where OneCount() is used to caculate the number of signal ``1'', and O and O' are the exact and approximate outputs, respectively.

    The existing computation methods of AC error metrics have different emphases. In terms of research object, most literatures focus on approximate adders, some work points to approximate multipliers, and several methods do not distinguish the AC function. From the method property perspective, there are three categories of methods including simulation analyses, statistical or analytical methods, and formal methods. These three categories of error characteristic analyses methods are described in Subsections 2.1-2.3, respectively.

    During the process of circuit reliability analysis, Monte Carlo (MC)[28] simulation is a classical and accurate method to emulate circuit behaviors for both conventional precise circuits and ACs. Unlike the exhaustive simulation which exhausts all input combinations, MC relies on random number generators that sample the random activities of the analyzed circuit. The advantages of the MC simulation method include the intuitiveness and the ability to simulate models for which deterministic solutions are intractable, and thus it is more accurate than analytical methods and formal methods. However, for large-scale circuits, MC is very time-consuming. The possible long running time and the large amount of computation resources are required to complete the simulations. Hence, generally the simulation is taken as an auxiliary technique in the error characteristics analysis of ACs, which is used to deal with some relevant statistics. In addition, the MC simulation is also regarded as a golden method for verification, e.g., it is used to verify the intermediate or final results of the analytical error analysis methods[29].

    Generally, during accuracy evaluation, the simulation is only utilized as part of the whole process, or it is improved to avoid time-consuming exhaustive simulation. Venkatesan et al.[30] introduced the so-called MACACO systematic methodology for the modelling and analysis of circuits for approximate computing. This methodology is applied to analyze a range of approximate implementations of data path building blocks and help the designer choose the required one. In the MACACO method, MC is utilized to construct the binary decision diagrams (BDDs) of the large bit-width modules. In [24], the simulation technique is combined with the analytical methods to carry out error estimation. Bonnot et al.[31] used a geostatistical inference method Kriging to reduce the simulation time, and analyzed the noise power and word length of ACs. The simulation methods can be applied to ACs with different functions. These methods are relatively accurate but time-consuming. Moreover, during simulation, the error statistics and error distribution are described by numerical data; therefore, parametric calculation based on the circuit design cannot be carried out. Although exhaustive simulation is not preferred, the chosen number of MC simulations is not always optimal, and hence the quality of the error estimation results cannot always be predicted accurately.

    Despite the simulation method can perform checking and quality assessment simultaneously, the exhaustive enumeration of the input combinations is tractable only for small-scale circuits. In order to address the time-consuming issue of simulation methods, various statistical and analytical methods have been proposed. These techniques have some common features, i.e., the error is expressed as a function of the inputs, the number of truncated bits, the length of carry-chain, the number of sub-components, etc. There are also some methods analyzing the error probability, which aim at some specific functions of ACs.

    According to the way of improving the performance, the approximate adders can be classified into low power approximate adder (LPAA) and low latency approximate adder (LLAA)[32]. The LPAA usually obtains low power consumption by simplifying the circuit structure, reducing the number of transistors and the internal node capacitance[33, 34]. In the LLAA, the multi-bit adder is divided into several sub-adders, and the carry propagation chain is cut off. By predicting the carry, additions of the high-bit sub-adders and low-bit sub-adders are performed in parallel; hence the delay is decreased (e.g., [35]).

    Due to the different architectures of LPAAs and LLAAs, the calculation methods of the error probability are different. Among the LPAA-related studies, the single-stage error probability evaluation is relatively simple and is always finished analytically[36]. For multistage LPAAs, the work in [34] estimates the probability of errors occurring in the sum and carry signals, and carries out the exhaustive simulations for comparison. In [32], an analytical method is proposed to report the error probabilities for a cascade multistage LPAA. Iterative analysis is used to compute MED, MSE, and WCE in [26]. In order to make the computation more efficient, based on the quad-tree representation, the work in [37] accurately computes EP and MED for different input patterns. While in LLAAs, the number of bits of a sub-adder consists of the number of bits contributing to the final output and the number of bits used to predict the carry. Therefore, the error of an LLAA mainly comes from carry prediction. The error probability analysis is based on the calculation of the carry propagation probability and the carry generation probability[28]. According to this rule, error probability evaluation is conducted for the low latency adder GeAr[35]. In the evaluation model of the almost correct adder (ACA), the outputs of every two sub-adders are assumed to be independent and then the simplification process is adopted[38]. However, the model[38] is inaccurate in the case of strong correlations.

    For approximate multipliers, there are some other error probability analysis methods where the analysis process is determined by the specific architecture of the multiplier. The existing approximate multipliers can be classified into four types.

    Type 1. The multipliers are composed of approximate partial products that are accumulated by using precise adders. A large multiplier is constructed by small multiplier building blocks (e.g., [39, 40]).

    Type 2. The multipliers are composed of precise partial products that are added by approximate adders or compressors (e.g., [41, 42]).

    Type 3. In these multipliers, both partial products and addition parts are approximate.

    Type 4. The multipliers are designed based on the approximate algorithms.

    For the approximate multipliers of type 1, Mazahir et al.[43] presented the probabilistic error analysis based on recursive computation. Since the approximate multipliers under consideration are constructed by small approximate multiplier building blocks, an error occurs in the approximate multiplier when any one of the building blocks generates an error. According to this observation, the error probability calculation model is then developed for the inputs under uniform and non-uniform distributions, respectively. For type 2 multipliers, a fast error analysis method for multipliers through topological traversal (FEMTO) is presented for Wallace multipliers consisting of approximate full adders (FAs)[44]. In this work, the error probability mass function (PMF) of each FA is firstly obtained. Since the total error of the approximate multiplier is the sum of the errors introduced by the approximate FAs at all stages, the PMF of the total error is calculated by a convolution of a weighted set of error PMFs of individual FAs. For type 3 multipliers, the existing work[45] mainly calculates the error metrics by using simulation and statistical methods. For type 4 multipliers, existing designs[14, 46] focus on the logarithmic multipliers using approximation algorithms, e.g., converting multiplication to addition, approximating the transformation of input operands, and truncating some least significant bits. For this type of approximate multipliers, the error characteristic analysis is mainly based on the approximation algorithm, and the error metrics are calculated according to the logarithm calculation rule or other analytical models. For example, in [14], according to the approximation model of {\log}_{2}N, the MSE and MED are calculated by the bit width of the input operands and the input range of the approximate multiplier.

    The analytical methods are relatively fast and efficient. On the other hand, some of these methods depend on the functions and design architectures of ACs. This kind of methods are suitable for some specific ACs.

    The accurate mathematical formulation is only suitable for some error metrics which can only be used for those ACs with regular structures. This limitation can be overcome in some degree by using formal methods such as BDDs[30], Boolean satisfiability (SAT) solvers[47] and symbolic computer algebra (SCA)[48]. A formal approach is not limited by the specific structure or function of an AC. To apply the formal methods, the function comparison between an AC and its conventional exact circuit needs to be transformed into a Boolean satisfiability problem. In the error evaluation procedure, generally two Boolean functions are constructed: one is the exact function called F and the other is the AC function called F' (see Fig.1)[47]. Several error metrics are measured by different Boolean algebra functions based on F and F'. Fig.1 shows a common approximate miter circuit to implement the Boolean algebra function of error metrics[47]. Different designs of “error computation circuit” can measure different error metrics.

    Figure  1.  Several error metrics computation by different Boolean functions[47].

    As shown in Fig.1, the error rate can be calculated in the computation circuit where the outputs of F and F' are connected via several XOR gates whose outputs are fed into an OR gate. The error metrics include the absolute error, the squared error, the relative error, Hamming distance and common equivalence checking metric under the average case and the worst case respectively.

    Based on a SAT solver, [30] utilizes an ILP solver in the formal analysis of ACs. To be more efficient, SATOne and SATCount operations are used to formulate the error computation[47]. The algorithms can be looked as a universal recipe on how to determine the error regardless of the chosen formal apparatus. Based on BDD, WCE, MED and ER are estimated by a generic algorithm of the approximate minimized BDD[49]. Lately, a greedy bucket-based BDD minimization algorithm is proposed to compute MED, MRED and ER[50]. In SCA, the circuit Boolean polynomials are divided by gate polynomials to obtain the remainder which denotes the error. In [51], the remainder is represented by the built algebraic decision diagram (ADD), and the error metrics of an AC are evaluated by a tailored ADD traversal algorithm. This method can measure WCE, WCRE, MED, MRED, MSE, ER and the maximum HD. Besides the main-stream approaches, some techniques have been developed to improve the miter construction and extend the applicable circuit scope[52, 53].

    In summary, in the formal methods for error evaluation, firstly the approximate and its corresponding exact circuit functions are denoted as Boolean polynomials, and then a miter construction or some Boolean algebra computation models are used to filter out the approximate design which satisfies the error requirements. In some degree, this kind of methods are more efficient and universal than simulation and analytical methods. However, once the AC is large, the Boolean polynomial computation will be very complex even if the BDD and ADD are improved by minimization and tailoring techniques. Hence, formal methods are more suitable for the AC with small or medium scale. Additionally, compared with other kinds of error characteristic analysis methods, the formal methods do not distinguish AC functions. Hence, most of the formal methods can be used to analyze combinational ACs. While only a small number of methods evaluate the errors of sequential circuits, which is well worth investigating.

    In this section, we discuss some crucial and under-investigated problems, which are the reliability prediction and state-of-the-art test for ACs, taking the acceptable output into consideration. Nowadays, one problem of nanoscale IC is its vulnerability to manufacturing defects (chiefly addressed by test) and to failures during its lifetime (chiefly addressed by reliability-enhancement techniques).

    When the approximate computing circuits step into manufacturing and application, the process variability, transient faults and aging effects inevitably threaten their reliability[54]. Some emerging technologies make the approximate circuit face the intrusion of defects. For example, some new types of defects may be caused by the continuous shrinking of one dimension, the multi-gate structure and the short channel effect in FinFET transistors. On the other hand, the reliability issues caused by transient defects or aging effects are varying over time. The circuit reliability also changes with the operation conditions such as the operating voltage, temperature, and switching activities. The fault probability distribution of wires is the key factor for electro-migration (EM) failure mechanism. The parameter-changing model of CMOS plays a key role in the bias temperature instability (BTI) and the oxide breakdown mechanisms.

    The manufacturing defects and operational faults pose a threat to AC reliability, and determine whether the AC satisfies the truth table and can be used in an error-tolerant application. Therefore, the analysis of AC reliability and test is essential. Compared with conventional circuits, the test and reliability prediction of ACs need to consider their acceptable outputs.

    In ACs, some functional outputs which are different from those of exact circuits may be acceptable in some error resilient applications. Therefore, the AC reliability constraints can be relaxed. Then, we define the reliability of an AC as the probability of obtaining acceptable outputs under the influence of manufacturing defects and operational faults. Reliability prediction can help circuit designers improve the AC structure during the design phase. In recent years our team has focused on the reliability prediction for ACs.

    Currently the existing work mainly focuses on approximate arithmetic circuits. To estimate the AC reliability, several terminologies need to be introduced, which include: 1) acceptable output—the AC output that meets the application requirement; 2) approximate output (AO)—the output of the fault-free AC; 3) exact output (EO)—the output of the corresponding fault-free exact circuit. For the sum of approximate addition, the product of approximate multiplication, and the quotient of approximate division, the acceptable output range is considered to be [min(AO, EO), max(AO, EO)]. Then, the reliability can be estimated by the probability that the outputs are in the acceptable output range. Based on this consideration, our team has explored several approaches[55-58].

    In [53], based on the PTM model, two methods are presented to estimate the reliability of approximate adders. In order to get the acceptable outputs probability for one input vector, in the first method every acceptable output probability is added directly, and in the second method the sum is weighted. At last, the reliability is calculated as the average value of the acceptable outputs probabilities assuming inputs follow the uniform distribution. These two methods are relatively accurate but with exponential complexities. Furthermore, the correlations caused by the reconvergent fanouts are not considered. In [56], the iterative PTM concept[53] is utilized and the correlation vectors are derived when calculating the approximate arithmetic circuit reliability. Hence, the correlation problem is alleviated and the scale of the evaluated circuit is increased. Furthermore, the evaluated circuits can be extended to approximate multipliers. In [57], Jiang et al. investigated the acceptable outputs of the quotient and remainder in an approximate divider, and presented three methods to estimate approximate arithmetic circuit reliability based upon PGM. This work can evaluate many approximate arithmetic circuits, including adders, multipliers, and dividers, and use three methods to trade off between the complexity and accuracy.

    Except for the reliability evaluation, we still proposed an approach to calculating the failure probability of approximate arithmetic circuits[58], i.e., the probability of yielding unacceptable outputs due to a soft error at the logic level. Furtherly, the algorithm is expanded to search the critical gate nodes whose infection leads to the maximum failure probability. Fig.2 shows the critical nodes found in an approximate adder in EvoApprox8b[58]. This result is reasonable because the location distribution of the critical nodes coincides with that of the MSBs whose infection can cause large output errors.

    Figure  2.  Critical nodes in add8_006[58]. ni: node i.

    Although some work has been done on the reliability prediction of ACs, some challenges need to be explored. Firstly, the acceptable output range is a key factor in the prediction of AC reliability. This is because the reliability is estimated by the probability that the function output belongs to the acceptable output range. In the current work, the acceptable output range is set to [min(AO, EO), max(AO, EO)], which is reasonable but not exact. To be more realistic, the acceptable output range needs to be explored according to the requirement of the error-tolerant application that uses the AC. Secondly, the fault type and the failure mechanism have not been fully considered in the reliability estimation. Current work mainly focuses on the logic level. However, the consideration of some defects occurring in the transistor level can make the reliability analysis more accurate. Thirdly, the considered ACs in the reliability evaluation are limited to approximate arithmetic circuits. Another possible research direction on AC reliability is to expand the types of the estimated ACs. We may consider other combinational and sequential circuits involving ACs in the next step.

    Considering the defects in manufacturing and the faults in use, AC reliability is estimated in advance in the design stage, which helps the designer strengthen the design. At the manufacturing stage, the test of AC is another important procedure that is valuable to be explored.

    Generally, the manufacturing test is to detect whether there is a physical defect in the chip. However, ACs are not necessarily to be defect-free. An AC should be tested as valid even though there are physical defects in the circuit, as long as the errors can be tolerated under approximation. Thus, the test constraints can be relaxed. The test rules for ACs are different from those for conventional exact circuits. The main difference lies in that a defect may cause the AC to behave differently from the exact circuit, while the output is still in the acceptable range. In this case, the circuit should not be abandoned. This rule may increase the yield since less circuits will be rejected. Furthermore, since the size of the test set is reduced, the test cost and time may be reduced. Meanwhile, the online testing can benefit from the time reduction. According to the whole procedure of the AC test, the approximation-aware (AxA) test flow is composed of three main stages[59]: 1) AxA fault classification, 2) AxA test pattern generation and 3) AxA test set application. In AxA fault classification, the faults are divided into two categories, i.e., the faults producing catastrophic effects on the circuit behavior, and those producing acceptable effects. Hence, the test pattern generation needs to produce the test vectors which can cover all the catastrophic faults and peel off the acceptable faults as many as possible. At last, the test set application divides the ACs into fault-free, acceptably faulty and catastrophically faulty. The circuits falling into the third group should be discarded.

    Fault classification is the first step of the AxA test. As mentioned, the possible faults consist of two subsets, i.e., acceptable and catastrophic fault sets. The faults in both subsets cause errors in the output of an AC.

    As shown in Fig.3[60], firstly an acceptable threshold Thr is set. If there is no fault, the error is certainly lower than Thr (the error set is denoted as E). If faults occur, every fault in the acceptable set Fa causes that the output error is always equal to or lower than Thr (the error set is denoted as Ea). In the catastrophic set Fc, for each fault there exists at least one input vector that produces an output error greater than Thr. The error set is denoted as Ec.

    Figure  3.  Fault impact on ACs[60].

    The key point is to separate acceptable faults from catastrophic ones. During this procedure, it is crucial to measure the output errors of an AC for a proper classification. Some measures are based on the error metrics mentioned at the beginning of Section 2. In [61], given one error threshold for an AC, after calculating the error metrics of HD and WCE, each fault can be categorized into the acceptable set or the catastrophic set. In [62], single condition test (SCT) metrics are proposed, which cost less effort for the fault classification compared with the measures based on the mean error metrics. In [63], fault classification techniques are improved by utilizing a filter to mask the effects of acceptable faults. In this filter, the netlists of the AC and the exact circuit are both embedded into a classifying architecture. Only for a catastrophic fault, this architecture produces an abnormal result. Based on this classification architecture, the AxA test can use the conventional test approaches. This architecture is only simulated and is not manufactured. Compared with the work in [61], the method proposed in [63] spares much more time.

    Test pattern generation is the second step of the AxA test. For ACs, test patterns need to cover all catastrophic faults and as few acceptable faults as possible. The work in [64] is not specific for ACs, but the structural and functional analysis for the approximate cases in conventional circuit tests can be regarded as a good starting point for the AC test. [64] identifies and protects just the vulnerable circuit part for the test pattern generation. [60, 65] begin to focus on the characteristics of ACs. Traiola et al.[57] presented three ATPG approaches, the architecture under test (AUT), the fault simulation (FS), and the pattern sorting (PS), for test pattern generation of ACs. The AUT takes the AC netlist, the original exact circuit netlist, the error metric, and the error threshold as the inputs of ATPG. Taking WCE as the error metric, ATPG can find the patterns for testing the catastrophic faults. The AUT can achieve a significant test reduction but the test patterns may increase for some cases. The FS alleviates this problem, but its maximum amount of test reductions is lower than that of the AUT. Thus, based on the FS, the PS is presented. In the PS, the test patterns are sorted according to their coverages for the catastrophic faults, i.e., the first test pattern covers the most catastrophic faults. The PS approach increases the test pattern reduction at the cost of additional fault simulations for the sorting.

    Based on the fully conventional ATPG, the difficulty of the AC test lies in how to compare the responses of an AC with those of its exact counterpart. Chandrasekharan et al.[61] proposed a SAT-based augmented ATPG technique, using the SAT solver to pre-process and classify the faults before applying ATPG. However, since the conventional ATPG mainly focuses on the faults propagating to the primary outputs, the test pattern generation is not fully aware of the error tolerance margin. Furthermore, some detectable catastrophic faults can be mistakenly regarded as acceptable faults as the conventional ATPG tools always choose the shortest fault propagation path without checking every possible path. To overcome these two limitations, [66] evaluates all propagation paths and converts the error tolerance margin into the SAT formulation, which can help to classify the acceptable faults and undetectable faults correctly.

    As discussed, compared with the conventional circuit test, the key difference of AC test lies in picking out the acceptable faults rather than the catastrophic faults. How to generate the test patterns which can detect the most catastrophic faults and as few acceptable faults as possible? Traiola et al.[67] applied a new engine to deal with the AxA test pattern generation. In the input vector set, the engine tries to search the smallest subset which can cover all the catastrophic faults and the least acceptable faults. It measures the coverage rates of the two kinds of faults, and constructs and resolves an integer linear programming optimization problem to find the optimal subset.

    The third step of AC test is the test set collection and application. In the flow proposed in [66], test set collection is conducted especially. As shown in Fig.4, firstly a fault is injected to the approximate sub-module, and the whole circuit containing the approximate and exact sub-modules is converted to a SAT instance. If and only if one or multiple input vectors lead to an output error beyond the error tolerance margin, the SAT instance is satisfiable and the catastrophic fault is found. After the SAT solver evaluates all propagation paths from the fault source to every primary output, the test patterns that can detect the catastrophic faults are then collected to form the test set.

    Figure  4.  SAT-based ATPG for test set collection.

    The AxA test set application technique is based on the well-known signature analysis concept[68]. The signature analysis is applied to the AxA test and consists of two periods. At the design time, the test patterns are used and the faults are injected to the AC, and the test responses are compacted into a signature. During this period, the acceptable and catastrophic signatures are both obtained, and the acceptable signatures which overlap with the catastrophic ones are then removed. The remained acceptable signatures are called the AxA signatures. At the test time, the test patterns which detect as few acceptable faults as possible are chosen; the test responses are compacted into a signature and compared with those in the AxA signatures. As long as there is one match, the AC is considered as acceptable. Otherwise, the circuit is rejected.

    In general, most of the AC tests can be carried out by conventional circuit test methods, such as the ATPG technique, the SAT solver and the signature analysis concept. In AC test, the key is differentiating the acceptable faults from the catastrophic faults. Currently the faults are classified by calculating the AC error metrics and setting the error thresholds. Nevertheless, in terms of fault acceptability, it is important to consider the accuracy requirement of the specific error-resilient application.

    After the discussions on reliability analyses and test processes for ACs, we know how to predict and detect the reliability of an AC. In Section 4, we will review the reliable design involving ACs, which may be helpful for the investigation of AC reliable designs.

    In this section, we discuss how approximate designs support low-cost fault-tolerant architectures with enhanced reliability.

    Among many error detection and correction techniques, one of the most frequently-used methods is the multiple modular redundancy[16]. For example, dual modular redundancy (DMR) and triple modular redundancy (TMR) are popular for error detection and logic masking. The two techniques have full error detection or error masking capabilities; however, they introduce more than 100% and 200% area overhead, respectively. Since over 20 years ago, some researchers have explored on finding the optimal balance between the level of protection and the extra cost in area, power and performance. In the early periods, partial logic masking is carried out by using DMR or TMR with a partial replication of the logic[16]. Partial logic masking may be effective, yet it is still based on a conventional technique; it has limited scalabilities and may introduce performance penalties. Hence, some literatures[17, 18] began to study the application of approximate logic circuits in the fault-tolerant techniques, i.e., using approximate designs to replace the exact copies of the target circuit.

    Generally, in the generation of the redundant module(s), according to the original exact logic function F, the approximate logic function F' needs to produce the same values as F for some inputs[17]. The module with the approximate logic function F' is used for error detection or correction in the cases where F' overlaps with F. Currently, this idea has been applied in DMR, TMR and quadruple modular redundancy (QMR)[17-19].

    In the context of DMR, AC design is used to construct the redundant module for concurrent error detection[18]. The approximate logic function is either a 0-approximation or a 1-approximation of the original exact logic function. For every input vector, a 0-approximation function F'_0 outputs 0, which can always guarantee the original function outputs 0. The 1-approximation function F'_1 has a similar property. Then, for every primary output of the circuit, a 1-approximation or a 0-approximation can be used for the detection of 1\to0 or 0\to 1 errors, respectively. The method of [18] uses a SAT algorithm to detect and discard incorrect approximations.

    In TMR, sometimes two redundant modules are designed based on ACs in the error correction scheme. This kind of TMR is called approximate TMR (ATMR). The two ACs in ATMR are designed based on the 0-approximation F'_0 and 1-approximation F'_1 of the original function, respectively. According to the definitions of the 0-approximation and the 1-approximation, there exist F'_0 = 0 \Rightarrow F = 0 (i.e., F = 1 \Rightarrow F'_0 = 1) and F'_1 = 1 \Rightarrow F = 1, and thus the three logic functions have the relationship shown in Fig.5. Errors in F'_1 can always be corrected in the on-set of F, and errors in F'_0 can always be corrected in the off-set of F. However, the area between F'_0 and F'_1 is the unprotected area. To deal with this problem, a new voter[69] is designed by using an error masking function instead of majority voting. Based on the concept of the unate functions, [70] makes an improvement in the construction of ACs. In this work, the testability measure is used to increase the error coverage. In order to make better trade-off between area and error masking, some studies endeavour to find new computations of approximation functions[71]; some researchers devise a full-ATMR that uses three approximate logic modules to compose TMR[72].

    Figure  5.  Relationship of the three logic functions in ATMR[69].

    Although using approximate designs in TMR can reduce the area cost and mask most of the faults, the approximate TMR generally has a partial error-masking capability and cannot be used in safety-critical scenarios. In ATMR, each approximate module has its own unique domain of approximation. If the output error of one approximate module caused by approximation coincides with the error of the original module due to hard faults, this error cannot be corrected. To resolve this problem, quadruple approximate modular redundancy (QAMR) is proposed, which can achieve the same level of reliability as the conventional TMR[73]. QAMR constructs the QMR by four approximate modules with an overall smaller area overhead than the conventional TMR. Fig.6 shows a simplified example of a QAMR implementation. For a given input vector, at least three approximate modules can provide the voter with the same output as that of the original module. Thus, the voter has a majority of the correct responses. After this solution was presented, [74] further demonstrates the advantages of QAMR compared with TMR. It can be found that for a single fault, QAMR and TMR have the same fault-tolerant capability for all input vectors, while for multiple faults QAMR avoids the overprovision of TMR. In the design of QAMR, each approximate module is constructed by selecting four disjoint subsets of outputs and removing one specific subset for each output. While the design in [74] is not applicable in some degree, [75] proposes another scheme utilizing three identical approximate modules and one exact module to construct QMR. Also, a special voter is designed which is a two-step approximation-aware voter based on the error magnitude of the output. The final voter permits the acceptable error of the approximation which is qualified by ED. In this context the solution accomplishes 100% error tolerance.

    Figure  6.  Illustration of QAMR[73]. Approx.i means approximate module i, and Oi means output i.

    As discussed in this section, approximate modules have been utilized to reduce the area and power cost of conventional fault-tolerant schemes (i.e., multiple modular redundancy). Based on these researches, a valuable topic would be exploring what fault-tolerant techniques can protect approximate designs and how the techniques affect ACs’ reliability and accuracy.

    In this article, the reliability related issues of ACs were reviewed in several aspects. In order to choose the proper approximate design among a large design space, error characteristic analyses of different approximate designs are needed. After the approximate design is picked out, considering the manufacture defects and faults in operation, the reliability estimation and testing methods were discussed for ACs. According to the prediction results of reliability and vulnerable node locations, designers can adjust and improve an AC design in the early stage. The AXA test can improve IC yield. These two aspects of research need more attention. For the high reliable design involving AC, some existing work utilizes ACs in conventional fault-tolerant schemes to reduce hardware overhead. However, the techniques to enhance AC reliability deserve further attention.

    Furthermore, there are still some problems to be addressed for ACs. Since the AC is born for the error tolerance of many applications, how to analyze the AC accuracy and reliability for different specific applications is challenging. For example, both the error metric threshold in the error characteristic analysis and the acceptable output range in the reliability estimation need application-oriented explorations. Currently, although PSNR can be regarded as a knob for image processing, the research for other error tolerant applications, such as data mining, voice identification, is still vacant. This requires the cooperation of experts in specific fields, the study of professional knowledge, and the exploration of practical problems.

  • Figure  1.   Several error metrics computation by different Boolean functions[47].

    Figure  2.   Critical nodes in add8_006[58]. ni: node i.

    Figure  3.   Fault impact on ACs[60].

    Figure  4.   SAT-based ATPG for test set collection.

    Figure  5.   Relationship of the three logic functions in ATMR[69].

    Figure  6.   Illustration of QAMR[73]. Approx.i means approximate module i, and Oi means output i.

    Table  1   Hardware Savings and Accuracy Losses of Some ACs

    ACAverage Improvement (%) Accuracy Loss
    Area
    Delay
    Power

    Error
    Rate (%)
    Error
    Distance
    AXA1[9]20.00100.0015.22 /4
    AXA2[9]40.0043.9625.07 /4
    AXA3[9]20.00–71.8030.57 /2
    CBAA-Type I[10]61.6323.5698.13 0.50/
    CBAA-Type II[10]61.639.2495.45 0.50/
    CBAA-Type III[10]39.94–54.7944.27 0.10/
    CBAA-Type IV[10]41.03–7.3373.81 0.20/
    R4ABE1[11]59.4512.5053.27 12.50/
    R4ABE2[11]83.7437.5088.44 25.00/
    ABE[12]64.8412.5062.81 18.75/
    下载: 导出CSV
  • [1]

    Moore G E. Cramming more components onto integrated circuits. IEEE Solid-State Circuits Society Newsletter, 2006, 11(3): 33–35. DOI: 10.1109/N-SSC.2006.4785860.

    [2]

    Dennard R H, Spampinato D P. Differential charge transfer sense amplifier. United States Patent 3949381. 1976-04-06.

    [3]

    Liu G, Zhang Z R. Statistically certified approximate logic synthesis. In Proc. the 2017 IEEE/ACM International Conference on Computer-Aided Design, Nov. 2017, pp.344-351. DOI: 10.1109/ICCAD.2017.8203798.

    [4]

    Chen C Y, Choi J, Gopalakrishnan K, Srinivasan V, Venkataramani S. Exploiting approximate computing for deep learning acceleration. In Proc. the 2018 Design, Automation & Test in Europe Conference & Exhibition, Mar. 2018, pp.821-826. DOI: 10.23919/DATE.2018.8342119.

    [5]

    Han J, Orshansky M. Approximate computing: An emerging paradigm for energy-efficient design. In Proc. the 2013 IEEE European Test Symposium, May 2013. DOI: 10.1109/ETS.2013.6569370.

    [6]

    Yazdanbakhsh A, Park J, Sharma H, Lotfi-Kamran P, Esmaeilzadeh H. Neural acceleration for GPU throughput processors. In Proc. the 48th International Symposium on Microarchitecture, Dec. 2015, pp.482-493. DOI: 10.1145/2830772.2830810.

    [7]

    Samadi M, Jamshidi D A, Lee J, Mahlke S. Paraprox: Pattern-based appoximation for data parallel applications. In Proc. the 19th International Conference on Architectural Support for Programming Languages and Operating Systems, Feb. 2014, pp.35-50. DOI: 10.1145/2541940.2541948.

    [8]

    Sidiroglou-Douskos S, Misailovic S, Hoffmann H, Rinard M. Managing performance vs accuracy trade-offs with loop perforation. In Proc. the 19th ACM SIGSOFT Symposium and the 13th European Conference on Foundations of Software Engineering, Sept. 2011, pp.124-134. DOI: 10.1145/2025113.2025133.

    [9]

    Yang Z X, Jain A, Liang J H, Han J, Lombardi F. Approximate XOR/XNOR-based adders for inexact computing. In Proc. the 13th IEEE International Conference on Nanotechnology, Aug. 2013, pp.690-693. DOI: 10.1109/NANO.2013.6720793.

    [10]

    Ramasamy M, Narmadha G, Deivasigamani S. Carry based approximate full adder for low power approximate computing. In Proc. the 7th International Conference on Smart Computing & Communications, Jun. 2019. DOI: 10.1109/ICSCC.2019.8843644.

    [11]

    Liu W Q, Qian L Y, Wang C H, Jiang H L, Han J, Lombardi F. Design of approximate radix-4 booth multipliers for error-tolerant computing. IEEE Trans. Computers, 2017, 66(8): 1435–1441. DOI: 10.1109/TC.2017.2672976.

    [12]

    Cao T, Liu W Q, Zhu Y Y. Design of approximate Booth multipliers for error-tolerant computing. Microelectronics Computer, 2018, 35(7): 67-71. DOI: 10.19304/j.cnki.issn1000-7180.2018.07.014. (in Chinese)

    [13]

    Liu Z H, Yazdanbakhsh A, Park T, Esmaeilzadeh H, Kim N S. SiMul: An algorithm-driven approximate multiplier design for machine learning. IEEE Micro, 2018, 38(4): 50–59. DOI: 10.1109/MM.2018.043191125.

    [14]

    Ansari M S, Cockburn B F, Han J. An improved logarithmic multiplier for energy-efficient neural computing. IEEE Trans. Computers, 2021, 70(4): 614–625. DOI: 10.1109/TC.2020.2992113.

    [15]

    Gielen G, De Wit P, Maricau E, Loeckx J, Martín-Martínez J, Kaczer B, Groeseneken G, Rodríguez R, Nafría M. Emerging yield and reliability challenges in nanometer CMOS technologies. In Proc. the 2008 Conference on Design, Automation and Test in Europe, Mar. 2008, pp.1322-1327. DOI: 10.1145/1403375.1403694.

    [16]

    Mohanram K, Touba N A. Partial error masking to reduce soft error failure rate in logic circuits. In Proc. the 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems, Nov. 2003, pp.433-440. DOI: 10.1109/DFTVS.2003.1250141.

    [17]

    Choudhury M R, Mohanram K. Low cost concurrent error masking using approximate logic circuits. IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, 2013, 32(8): 1163–1176. DOI: 10.1109/TCAD.2013.2250581.

    [18]

    Choudhury M R, Mohanram K. Approximate logic circuits for low overhead, non-intrusive concurrent error detection. In Proc. the 2008 Conference on Design, Automation and Test in Europe, Mar. 2008, pp.903-908. DOI: 10.1145/1403375.1403593.

    [19]

    Martin H, Entrena L, Dupuis S, Natale G D. A novel use of approximate circuits to thwart hardware Trojan insertion and provide obfuscation. In Proc. the 24th International Symposium on On-Line Testing and Robust System Design, Jul. 2018, pp.41-42. DOI: 10.1109/IOLTS.2018.8474077.

    [20]

    Liang J H, Han J, Lombardi F. New metrics for the reliability of approximate and probabilistic adders. IEEE Trans. Computers, 2013, 62(9): 1760–1771. DOI: 10.1109/TC.2012.146.

    [21]

    Gebregiorgis A, Kiamehr S, Tahoori M B. Error propagation aware timing relaxation for approximate near threshold computing. In Proc. the 54th Annual Design Automation Conference, Jun. 2017, Article No. 77. DOI: 10.1145/3061639.3062240

    [22]

    Yu C C, Hayes J P. Scalable and accurate estimation of probabilistic behavior in sequential circuits. In Proc. the 28th VLSI Test Symposium, Apr. 2010, pp.165-170. DOI: 10.1109/VTS.2010.5469586.

    [23]

    Kulkarni P, Gupta P, Ercegovac M. Trading accuracy for power with an underdesigned multiplier architecture. In Proc. the 24th Internatioal Conference on VLSI Design, Jan. 2011, pp.346-351. DOI: 10.1109/VLSID.2011.51.

    [24]

    Liu C, Han J, Lombardi F. An analytical framework for evaluating the error characteristics of approximate adders. IEEE Trans. Computers, 2015, 64(5): 1268–1281. DOI: 10.1109/TC.2014.2317180.

    [25]

    Jiang H L, Han J, Qiao F, Lombardi F. Approximate radix-8 booth multipliers for low-power and high-performance operation. IEEE Trans. Computers, 2016, 65(8): 2638–2644. DOI: 10.1109/TC.2015.2493547.

    [26]

    Roy A S, Biswas R, Dhar A S. On fast and exact computation of error metrics in approximate LSB adders. IEEE Trans. Very Large Scale Integration (VLSI) Systems, 2020, 28(4): 876–889. DOI: 10.1109/TVLSI.2020.2967149.

    [27]

    Mrazek V, Hrbacek R, Vasicek Z, Sekanina L. EvoApprox8b: Library of approximate adders and multipliers for circuit design and benchmarking of approximation methods. In Proc. the 2017 Design, Automation & Test in Europe Conference & Exhibition, Mar. 2017, pp.258-261. DOI: 10.23919/DATE.2017.7926993.

    [28]

    Biersack J P, Haggmark L G. A Monte Carlo computer program for the transport of energetic ions in amorphous targets. Nuclear Instruments and Methods, 1980, 174(1/2): 257–269. DOI: 10.1016/0029-554X(80)90440-1.

    [29]

    Mazahir S, Hasan O, Hafiz R, Shafique M, Henkel J. Probabilistic error modeling for approximate adders. IEEE Trans. Computers, 2017, 66(3): 515–530. DOI: 10.1109/TC.2016.2605382.

    [30]

    Venkatesan R, Agarwal A, Roy K, Raghunathan A. MACACO: Modeling and analysis of circuits for approximate computing. In Proc. the 2011 IEEE/ACM International Conference on Computer-Aided Design, Nov. 2011, pp.667-673. DOI: 10.1109/ICCAD.2011.6105401.

    [31]

    Bonnot J, Menard D, Desnos K. Fast kriging-based error evaluation for approximate computing systems. In Proc. the 2020 Design, Automation & Test in Europe Conference & Exhibition, Mar. 2020, pp.1384-1389. DOI: 10.23919/DATE48585.2020.9116320.

    [32]

    Ayub M K, Hasan O, Shafique M. Statistical error analysis for low power approximate adders. In Proc. the 54th Annual Design Automation Conference, Jun. 2017, Article No. 75. DOI: 10.1145/3061639.3062319.

    [33]

    Gupta V, Mohapatra D, Park S P, Raghunathan A, Roy K. IMPACT: Imprecise adders for low-power approximate computing. In Proc. the 2011 IEEE/ACM International Symposium on Low Power Electronics and Design, Aug. 2011, pp.409-414. DOI: 10.1109/ISLPED.2011.5993675.

    [34]

    Gupta V, Mohapatra D, Raghunathan A, Roy K. Low-power digital signal processing using approximate adders. IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, 2013, 32(1): 124–137. DOI: 10.1109/TCAD.2012.2217962.

    [35]

    Shafique M, Ahmad W, Hafiz R, Henkel J. A low latency generic accuracy configurable adder. In Proc. the 52nd Annual Design Automation Conference, Jun. 2015, Article No. 86. DOI: 10.1145/2744769.2744778.

    [36]

    Almurib H A F, Kumar T N, Lombardi F. Inexact designs for approximate low power addition by cell replacement. In Proc. the 2016 Design, Automation & Test in Europe Conference & Exhibition, Mar. 2016, pp.660-665. DOI: 10.3850/9783981537079_0042.

    [37]

    Rezaalipour M, Rezaalipour M, Dehyadegari M, Bojnordi M N. AxMAP: Making approximate adders aware of input patterns. IEEE Trans. Computers, 2020, 69(6): 868–882. DOI: 10.1109/TC.2020.2968905.

    [38]

    Kahng A B, Kang S. Accuracy-configurable adder for approximate arithmetic designs. In Proc. the 49th Annual Design Automation Conference, Jun. 2012, pp.820-825. DOI: 10.1145/2228360.2228509.

    [39]

    Kulkarni P, Gupta P, Ercegovac M D. Trading accuracy for power in a multiplier architecture. Journal of Low Power Electronics, 2011, 7(4): 490–501. DOI: 10.1166/jolpe.2011.1157.

    [40]

    Lin C H, Lin I C. High accuracy approximate multiplier with error correction. In Proc. the 31st IEEE International Conference on Computer Design, Oct. 2013, pp.33-38. DOI: 10.1109/ICCD.2013.6657022.

    [41]

    Maheshwari N, Yang Z X, Han J, Lombardi F. A design approach for compressor based approximate multipliers. In Proc. the 28th International Conference on VLSI Design, Jan. 2015, pp.209-214. DOI: 10.1109/VLSID.2015.41.

    [42]

    Momeni A, Han J, Montuschi P, Lombardi F. Design and analysis of approximate compressors for multiplication. IEEE Trans. Computers, 2015, 64(4): 984–994. DOI: 10.1109/TC.2014.2308214.

    [43]

    Mazahir S, Hasan O, Hafiz R, Shafique M. Probabilistic error analysis of approximate recursive multipliers. IEEE Trans. Computers, 2017, 66(11): 1982–1990. DOI: 10.1109/TC.2017.2709542.

    [44]

    Sengupta D, Sapatnekar S S. FEMTO: Fast error analysis in multipliers through topological traversal. In Proc. the 2015 IEEE/ACM International Conference on Computer-Aided Design, Nov. 2015, pp.294-299. DOI: 10.1109/ICCAD.2015.7372583.

    [45]

    Sunny A, Mathew B K, Dhanusha P B. Area efficient high speed approximate multiplier with carry predictor. Procedia Technology, 2016, 24: 1170–1177. DOI: 10.1016/j.protcy.2016.05.072.

    [46]

    Kim M S, Del Barrio A A, Oliveira L T, Hermida R, Bagherzadeh N. Efficient Mitchell’s approximate log multipliers for convolutional neural networks. IEEE Trans. Computers, 2019, 68(5): 660–675. DOI: 10.1109/TC.2018.2880742.

    [47]

    Vasicek Z. Formal methods for exact analysis of approximate circuits. IEEE Access, 2019, 7: 177309–177331. DOI: 10.1109/ACCESS.2019.2958605.

    [48]

    Froehlich S, GroBe D, Drechsler R. One method—All error-metrics: A three-stage approach for error-metric evaluation in approximate computing. In Proc. Design, Autom. Test Eur ConfExhib. (DATE), Mar. 2019, pp.284–287. DOI: 10.23919/DATE.2019.8715138.

    [49]

    Soeken M, Große D, Chandrasekharan A, Drechsler R. BDD minimization for approximate computing. In Proc. the 21st Asia and South Pacific Design Automation Conference, Jan. 2016, pp.474-479. DOI: 10.1109/ASPDAC.2016.7428057.

    [50]

    Wendler A, Keszocze O. A fast BDD minimization framework for approximate computing. In Proc. the 2020 Design, Automation & Test in Europe Conference & Exhibition, Mar. 2020, pp.1372-1377. DOI: 10.23919/DATE48585.2020.9116296.

    [51]

    Froehlich S, Große D, Drechsler R. One method-all error-metrics: A three-stage approach for error-metric evaluation in approximate computing. In Proc. the 2019 Design, Automation & Test in Europe Conference & Exhibition, Mar. 2019, pp.284-287. DOI: 10.23919/DATE.2019.8715138.

    [52]

    Chandrasekharan A, Soeken M, Große D, Drechsler R. Precise error determination of approximated components in sequential circuits with model checking. In Proc. the 53rd Annual Design Automation Conference, Jun. 2016, Article No. 129. DOI: 10.1145/2897937.2898069.

    [53]

    Češka M, Matyaš J, Mrazek V, Sekanina L, Vasicek Z, Vojnar T. Approximating complex arithmetic circuits with formal error guarantees: 32-bit multipliers accomplished. In Proc. the 2017 IEEE/ACM International Conference on Computer-Aided Design, Nov. 2017, pp.416-423. DOI: 10.1109/ICCAD.2017.8203807.

    [54]

    Anghel L, Benabdenbi M, Bosio A, Vatajelu E I. Test and reliability in approximate computing. In Proc. the 2017 International Mixed Signals Testing Workshop, Jul. 2017. DOI: 10.1109/IMS3TW.2017.7995210.

    [55]

    Jiang J H, Lu G M, Wang Z. Methods for approximate adders reliability estimation based on PTM model. In Proc. the 23rd Pacific Rim International Symposium on Dependable Computing, Dec. 2018, pp.221-222. DOI: 10.1109/PRDC.2018.00038.

    [56]

    Wang Z, Zhang G F, Ye J, Jiang J H, Li F Y, Wang Y. Accurate reliability analysis methods for approximate computing circuits. Tsinghua Science and Technology, 2022, 27(4): 729–740. DOI: 10.26599/TST.2020.9010032.

    [57]

    Jiang J H, Wang T, Wang Z. Probability gate model based methods for approximate arithmetic circuits reliability estimation. CCF Trans. High Performance Computing, 2021, 3(2): 201–219. DOI: 10.1007/s42514-020-00058-1.

    [58]

    Wang Z, Jiang J H, Wang T. Failure probability analysis and critical node determination for approximate circuits. Integration, 2019, 68: 122–128. DOI: 10.1016/j.vlsi.2019.05.008.

    [59]

    Bosio A, Di Carlo S, Girard P, Sanchez E, Savino A, Sekanina L, Traiola M, Vasicek Z, Virazel A. Design, verification, test and in-field implications of approximate computing systems. In Proc. the 2020 IEEE European Test Symposium, May 2020. DOI: 10.1109/ETS48528.2020.9131557.

    [60]

    Traiola M, Virazel A, Girard P, Barbareschi M, Bosio A. On the comparison of different ATPG approaches for approximate integrated circuits. In Proc. the 21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits Systems, Apr. 2018, pp.85-90. DOI: 10.1109/DDECS.2018.00022.

    [61]

    Chandrasekharan A, Eggersglüß S, Große D, Drechsler R. Approximation-aware testing for approximate circuits. In Proc. the 23rd Asia and South Pacific Design Automation Conference, Jan. 2018, pp.239-244. DOI: 10.1109/ASPDAC.2018.8297312" target="_blank">href="https://doi.org/10.1109/ASPDAC.2018.8297312">10.1109/ASPDAC.2018.8297312.

    [62]

    Traiola M, Virazel A, Girard P, Barbarcschi M, Bosio A. Investigation of mean-error metrics for testing approximate integrated circuits. In Proc. the 2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Oct. 2018. DOI: 10.1109/DFT.2018.8602939.

    [63]

    Traiola M, Virazel A, Girard P, Barbareschi M, Bosio A. Testing approximate digital circuits: Challenges and opportunities. In Proc. the 19th IEEE Latin-American Test Symposium, Mar. 2018. DOI: 10.1109/LATW.2018.8349681.

    [64]

    Wali I, Traiola M, Virazel A, Girard P, Barbareschi M, Bosio A. Towards approximation during test of integrated circuits. In Proc. the 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, Apr. 2017, pp.28-33. DOI: 10.1109/DDECS.2017.7934574.

    [65]

    Anghel L, Benabdenbi M, Bosio A, Traiola M, Vatajelu E I. Test and reliability in approximate computing. Journal of Electronic Testing, 2018, 34(4): 375–387. DOI: 10.1007/s10836-018-5734-9.

    [66]

    Gebregiorgis A, Tahoori M B. Test pattern generation for approximate circuits based on Boolean satisfiability. In Proc. the 2019 Design, Automation & Test in Europe Conference & Exhibition, Mar. 2019, pp.1028-1033. DOI: 10.23919/DATE.2019.8714898.

    [67]

    Traiola M, Virazel A, Girard P, Barbareschi M, Bosio A. A test pattern generation technique for approximate circuits based on an ILP-formulated pattern selection procedure. IEEE Trans. Nanotechnology, 2019, 18: 849–857. DOI: 10.1109/TNANO.2019.2923040.

    [68]

    Traiola M, Virazel A, Girard P, Barbareschi M, Bosio A. Maximizing yield for approximate integrated circuits. In Proc. the 2020 Design, Automation & Test in Europe Conference & Exhibition, Mar. 2020, pp.810-815. DOI: 10.23919/DATE48585.2020.9116341.

    [69]

    Sierawski B D, Bhuva B L, Massengill L W. Reducing soft error rate in logic circuits through approximate logic functions. IEEE Trans. Nuclear Science, 2006, 53(6): 3417–3421. DOI: 10.1109/TNS.2006.884352.

    [70]

    Sánchez-Clemente A, Entrena L, García-Valderas M, López-Ongil C. Logic masking for SET mitigation using approximate logic circuits. In Proc. the 18th IEEE International On-Line Testing Symposium, Jun. 2012, pp.176-181. DOI: 10.1109/IOLTS.2012.6313868.

    [71]

    Gomes I A C, Martins M, Kastensmidt F L, Reis A, Ribas R, Novalès S P. Methodology for achieving best trade-off of area and fault masking coverage in ATMR. In Proc. the 15th Latin American Test Workshop-LATW, Mar. 2014. DOI: 10.1109/LATW.2014.6841916.

    [72]

    Gomes I A C, Martins M, Reis A, Kastensmidt F L. Using only redundant modules with approximate logic to reduce drastically area overhead in TMR. In Proc. the 16th Latin-American Test Symposium, Mar. 2015. DOI: 10.1109/LATW.2015.7102522.

    [73]

    Deveautour B, Traiola M, Virazel A, Girard P. QAMR: An approximation-based fully reliable TMR alternative for area overhead reduction. In Proc. the 2020 IEEE European Test Symposium, May 2020. DOI: 10.1109/ETS48528.2020.9131574.

    [74]

    Deveautour B, Traiola M, Virazel A, Girard P. Reducing overprovision of triple modular reduncancy owing to approximate computing. In Proc. the 27th IEEE International Symposium on On-Line Testing and Robust System Design, Jun. 2021. DOI: 10.1109/IOLTS52814.2021.9486699.

    [75]

    Masadeh M, Aoun A, Hasan O, Tahar S. Highly-reliable approximate quadruple modular redundancy with approximation-aware voting. In Proc. the 32nd International Conference on Microelectronics, Dec. 2020. DOI: 10.1109/ICM50269.2020.9331771.

  • 期刊类型引用(3)

    1. J. Jean Jenifer Nesam, S. Sankar Ganesh, Sitharthan Ramachandran. Effect of bit-size reduced half-precision floating-point format on image pixel characterization for AI applications. Results in Engineering, 2024, 24: 103179. 必应学术
    2. Harissh G, Mathumitha R, Dharini R S, et al. Low Power, High Accuracy Approximate Multiplier for Error-Resilient Image Processing Application. 2024 IEEE International Conference on Distributed Computing, VLSI, Electrical Circuits and Robotics (DISCOVER), 必应学术
    3. Chandrama Saha, Bushra Siddiqui, Harsh Bhoi, et al. Machine Learning-Based Fault Detection in VLSI Circuits. 2025 3rd International Conference on Device Intelligence, Computing and Communication Technologies (DICCT), 必应学术

    其他类型引用(0)

图(6)  /  表(1)
计量
  • 文章访问数:  238
  • HTML全文浏览量:  4
  • PDF下载量:  24
  • 被引次数: 3
出版历程
  • 收稿日期:  2022-05-31
  • 录用日期:  2023-02-07
  • 网络出版日期:  2023-06-06
  • 刊出日期:  2023-03-24

目录

/

返回文章
返回