We use cookies to improve your experience with our site.
谢子超, 佟冬, . 一种通用的使用目标地址指针的低开销间接转移预测技术[J]. 计算机科学技术学报, 2014, 29(6): 929-946. DOI: 10.1007/s11390-014-1480-3
引用本文: 谢子超, 佟冬, . 一种通用的使用目标地址指针的低开销间接转移预测技术[J]. 计算机科学技术学报, 2014, 29(6): 929-946. DOI: 10.1007/s11390-014-1480-3
Zi-Chao Xie, Dong Tong, Ming-Kai Huang. A General Low-Cost Indirect Branch Prediction Using Target Address Pointers[J]. Journal of Computer Science and Technology, 2014, 29(6): 929-946. DOI: 10.1007/s11390-014-1480-3
Citation: Zi-Chao Xie, Dong Tong, Ming-Kai Huang. A General Low-Cost Indirect Branch Prediction Using Target Address Pointers[J]. Journal of Computer Science and Technology, 2014, 29(6): 929-946. DOI: 10.1007/s11390-014-1480-3

一种通用的使用目标地址指针的低开销间接转移预测技术

A General Low-Cost Indirect Branch Prediction Using Target Address Pointers

  • 摘要: 现如今,能效性已经成为芯片设计的第一指标.为了追求更高的能效性,微处理器设计者应该减少或消除那些不必要的能量开销.间接转移预测,尤其在运行那些使用面向对象语言编写的程序时,已经成为处理器的性能瓶颈.已有基于硬件的间接转移预测器通常能效性不佳,它们需要额外的硬件存储开销,或者预测速度较慢.本文提出了一种高能效的间接转移预测技术,称为TAP Prediction(目标地址指针预测技术).其核心思想是使用特殊的硬件指针加速间接转移流程,并重用已有的处理器部件来减少额外的硬件和功耗开销.当间接转移指令取指时,TAP首先从条件转移预测器中获得目标地址指针,之后使用这些指针来生成可以索引间接转移目标地址的虚拟地址.该技术可以取得与专用存储类技术相类似的预测速度,而无需大容量的额外存储单元.实验评测表明,该技术可以应用在多种先进转移预测器中,取得明显的性能提升.与其他基于硬件的间接转移预测技术相比,TAP-Perceptron策略可以获得与8K项TTC相类似的性能提升,且性能明显优于VPC技术.

     

    Abstract: Nowadays energy-efficiency becomes the first design metric in chip development. To pursue higher energy efficiency, the processor architects should reduce or eliminate those unnecessary energy dissipations. Indirect-branch pre-diction has become a performance bottleneck, especially for the applications written in object-oriented languages. Previous hardware-based indirect-branch predictors are generally inefficient, for they either require significant hardware storage or predict indirect-branch targets slowly. In this paper, we propose an energy-efficient indirect-branch prediction technique called TAP (target address pointer) prediction. Its key idea includes two parts: utilizing specific hardware pointers to accelerate the indirect branch prediction flow and reusing the existing processor components to reduce additional hardware costs and power consumption. When fetching an indirect branch, TAP prediction first gets the specific pointers called target address pointers from the conditional branch predictor, and then uses such pointers to generate virtual addresses which index the indirect-branch targets. This technique spends similar time compared to the dedicated storage techniques without requiring additional large amounts of storage. Our evaluation shows that TAP prediction with some representative state-of-the-art branch predictors can improve performance significantly over the baseline processor. Compared with those hardware-based indirect-branch predictors, the TAP-Perceptron scheme achieves performance improvement equivalent to that provided by an 8 K-entry TTC predictor, and also outperforms the VPC predictor.

     

/

返回文章
返回