We use cookies to improve your experience with our site.
Yong Guan, Jingling Xue. Leakage-Aware Modulo Scheduling for Embedded VLIW Processors[J]. Journal of Computer Science and Technology, 2011, 26(3): 405-417. DOI: 10.1007/s11390-011-1143-6
Citation: Yong Guan, Jingling Xue. Leakage-Aware Modulo Scheduling for Embedded VLIW Processors[J]. Journal of Computer Science and Technology, 2011, 26(3): 405-417. DOI: 10.1007/s11390-011-1143-6

Leakage-Aware Modulo Scheduling for Embedded VLIW Processors

  • As semi-conductor technologies move down to the nanometer scale, leakage power has become a significant component of the total power consumption. In this paper, we present a leakage-aware modulo scheduling algorithm to achieve leakage energy saving for applications with loops on Very Long Instruction Word (VLIW) architectures. The proposed algorithm is designed to maximize the idleness of function units integrated with the dual-threshold domino logic, and reduce the number of transitions between the active and sleep modes. We have implemented our technique in the Trimaran compiler and conducted experiments using a set of embedded benchmarks from DSPstone and Mibench on the cycle-accurate VLIW simulator of Trimaran. The results show that our technique achieves significant leakage energy saving compared with a previously published DAG-based (Directed Acyclic Graph) leakage-aware scheduling algorithm.
  • loading

Catalog

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return